Welcome![Sign In][Sign Up]
Location:
Search - SD controller vhdl

Search list

[Othersd_IP

Description: SD card controller can just read data using 1 bit SD mode. I have written this core for NIOS2 CPU, Cyclone, but I think it can works with other FPGA or CPLD. Better case for this core is SD clock = 20 MHz and CPU clock = 100 MHz (or in the ratio 1:5). If you have a wish you can achieve this core. Good luck-SD card controller can just read data using 1 bit SD mode.I have written this core for NIOS2 CPU, Cyclone, but I think it can workswith other FPGA or CPLD. Better case for this core is SD clock = 20 MHz andCPU clock = 100 MHz (or in the ratio 1:5). If you have a wish you can achieve this core.Good luck
Platform: | Size: 8192 | Author: tuya | Hits:

[Embeded Linuxneek_alternate_sd_card_controller

Description: This SPI-mode SD Card controller is a free SOPC Builder component that can be used in any SOPC Builder system. The included example design runs on the Nios II Embedded Evaluation Kit, Cyclone III edition (NEEK).-This SPI-mode SD Card controller is a free SOPC Builder component that can be used in any SOPC Builder system. The included example design runs on the Nios II Embedded Evaluation Kit, Cyclone III edition (NEEK).
Platform: | Size: 2167808 | Author: zhangdongqing | Hits:

[VHDL-FPGA-Veriloghex2rom_0241_Win32

Description: This SPI-mode SD Card controller is a free SOPC Builder component that can be used in any SOPC Builder system. The included example design runs on the Nios II Embedded Evaluation Kit, Cyclone III edition (NEEK).-This SPI-mode SD Card controller is a free SOPC Builder component that can be used in any SOPC Builder system. The included example design runs on the Nios II Embedded Evaluation Kit, Cyclone III edition (NEEK).
Platform: | Size: 96256 | Author: zhangdongqing | Hits:

[VHDL-FPGA-VerilogSDCard_Controller

Description: SD卡控制器IP. 兼容SD卡协议2.0。与wishbone bus 接口,方便与其他IP连接使用。 -SD Card Controller IP. Compatible with SD Card Agreement 2.0. With the wishbone bus interface to facilitate the use of other IP connections.
Platform: | Size: 24576 | Author: xiafei | Hits:

[VHDL-FPGA-VerilogSD_verilog

Description: 该代码,只用了硬件描述语言Verilog在完成对SD卡控制器的编写,经济实用-The code, only the hardware description language Verilog in the completion of the SD card controller to prepare, economical and practical
Platform: | Size: 24576 | Author: 宋宜良 | Hits:

[VHDL-FPGA-Verilogsd_slave_device

Description: verilog source code for SD card SLAVE DEVICE IP-Core
Platform: | Size: 15360 | Author: Antti Lukats | Hits:

[VHDL-FPGA-Verilogsdcard_mass_storage_controller_latest..tar

Description: SD卡控制器,适合硬件工程师在FPGA内部实现SD 控制器-SD card controller FPGA for hardware engineers in the internal implementation of SD Controller
Platform: | Size: 2287616 | Author: 彭涛 | Hits:

[VHDL-FPGA-VerilogSD-card-controller-used--FPGA

Description: SD卡控制器的FPGA实现 -SD card controller FPGA to achieve SD card controller FPGA implementation
Platform: | Size: 257024 | Author: liujie | Hits:

[Software Engineeringsd-vhdl

Description: sd card controller single and continus
Platform: | Size: 2048 | Author: tariq | Hits:

[FlashMXsd.vhdl

Description: FLASH MEMORY CONTROLLER TO EMBEDDED PRODUCTS
Platform: | Size: 2048 | Author: manju | Hits:

CodeBus www.codebus.net